Primeros pasos en Modelsim

¿Qué es ModelSim?

Primero que nada es esencial entender qué es ModelSim y por qué es una herramienta vital en el diseño electrónico. ModelSim es un simulador de hardware ampliamente utilizado en el campo de la Automatización del Diseño Electrónico (EDA). Su función principal es simular el comportamiento de diseños digitales, permitiéndote visualizar cómo interactúan los componentes antes de pasar a la etapa de implementación física en hardware.

¿Para qué sirve y cuáles son sus ventajas?

ModelSim juega un papel crucial en el proceso de diseño de FPGA y ASIC. Al simular tu diseño, puedes identificar y corregir posibles errores antes de comprometerte con la implementación. Sus principal ventaja es la capacidad de realizar simulaciones precisas de tu diseño.

Diferencia con Quartus

Mientras ModelSim se centra en la simulación y análisis de diseños digitales, Quartus se utiliza para la síntesis, implementación y programación de dispositivos FPGA. Ambas herramientas trabajan en conjunto:

  • ModelSim para validar y depurar el diseño
  • Quartus para implementarlo en el hardware real.

Instalacion de Modelsim Altera Standard Edition

Abre tu navegador web preferido y escribe la consulta de búsqueda: «modelsim altera starter edition». Esto te llevará a la página oficial de descargas. Esta es una version gratuita de Modelsim lo cual presenta algunas limitaciones pero que es suficiente para propositos de aprendizaje.

Una vez que hayas llegado a la página de descargas, verás opciones para diferentes sistemas operativos. Selecciona el que corresponda a tu sistema, ya sea Windows o Linux.

Después de descargar el instalador, dirígete a la ubicación donde se guardó en tu computadora. Ejecuta el instalador haciendo doble clic en el archivo. Esto iniciará el proceso de instalacion.

Una vez completada la instalación, podras iniciar Modelsim desde el buscador de windows o dandole doble click al icono creado en tu escritorio. Esto te abrirá una interfaz como en la siguiente imagen.

Introduccion al uso de Modelsim

Una vez que ya tenemos el programa instalado correctamente en nuestro sistema, estamos listos para realizar nuestra primera simulacion en Modelsim.

Para iniciar un nuevo proyecto debemos dirigirnos a: File > New > Project

Luego se nos mostrará la siguiente ventana, donde colocaremos el nombre de nuestro proyecto y la ubicacion en la cual queremos que se guarde.

.

El siguiente paso es añadir los archivos RTL que quisieramos simular. Si ya los tuvieramos podemos seleccionar la opcion «Add Existing files» en la siguiente ventana

Si no tuvieramos estos archivos, podemos seleccionar la opcion «Create New File» el cual nos abrirá el editor de texto integrado de ModelSim.

Estos archivos son cualquier archivo de diseño HDL como Verilog, SystemVerilog o VHDL. Los cuales pueden ser editados en cualquier editor de texto.

Una vez que los archivos esten en nuestro proyecto podemos darle click a compilar como se muestra en la imagen:

Con esta opcion podremos observar si tenemos errores de sintaxis en nuestros archivos en VHDL o Verilog. Si todo está correcto sintacticamente el simulador compilara correctamente y mostrará checks en el apartado de status. Si tuvieramos algun error, este se mostrara en la ventana de Transcript luego al darle doble click sobre el mensaje de error, se nos mostrará los detalles y como solucionar el problema.

Luego de solucionar el problema, podemos volver a compilar y obtendremos el mensaje de <your file> was succesfull para todos los archivos de nuestro proyecto.

Una vez la compilacion se realiza sin errores, vamos a abrir la ventana de simulacion.

Se nos abrirá la siguiente ventana, en la cual eligiremos realizar la simulacion del testbench que es donde hemos realizado el estimulo a nuestro diseño.

Seleccionamos «OK» y se nos abrira la siguiente interfaz.

Para poder visualizar cambios en nuestras señales de entradas y salidas es necesario añadir estas como una Onda a la ventana Wave. Seleccionamos todos los objetos que querramos observar, damos click derecho y Add Wave

Una vez que nuestras señales esten dentro de la ventana Wave podemos darle Iniciar a la simulacion.

Dentro de la barra de herramientas tenemos un bloque con el texto «100 ns» esta ventana controla durante cuanto tiempo nuestra simulacion va a correr, esto es ideal para realizar simulaciones pequeñas que tengan por ejm: 10ns de periodo de reloj y la respuesta se encuentre en 10 ciclos de reloj, en total tendriamos que simular por 100ns.

El boton run realizara la simulacion por el tiempo indicado en la ventana de «Run Length» que es 100 ns, este valor lo podemos modificar segun nos convenga.

Si le damos una unica vez veremos una respuesta en nuestra ventana wave.

Dandole multiples veces podremos ver como se comporta nuestro diseño por varios ciclos de reloj.

La simulacion de nuestro testbench quedaria de la siguiente forma

Si desear ver mas detalles de nuestro codigo para esta primera simulacion puedes ver nuestro video de youtube:

Youtube: Instalacion e Introduccion a modelsim

En este video realizamos los detalles de la instalacion y todos los pasos para realizar nuestra simulacion desde el codigo en VHDL hasta waveforms!

Deja un comentario

Tu dirección de correo electrónico no será publicada. Los campos obligatorios están marcados con *